Error reported by ISE simulator: ERROR:Simulator : 861 – failed to link the design solution

ISE built-in Simulator ERROR: ERROR:Simulator:861 — Failed to link the design solution

10 64 – bit Win the measured effective
reproduced from: https://blog.csdn.net/sd10086/article/details/53046401
I use the Windows 10 64-bit professional version, and the computer is equipped with ISE14.7 version. When I use the ISE’s built-in Simulator ISIM to simulate, the Simulator always reports ERROR:Simulator:861 — Failed to link the design.
checked the Verilog code for many times and confirmed that there was no error, but the simulation was still the same problem, so I searched with Google and found that this problem would occur in any system with Windows 8 version or above, but I still did not know how to solve it. Finally, I found a solution in a foreign forum on electronic engineering. Although I did not know the principle of solving the problem, I gave it a try and it worked.
to prevent the problem from recurring in the future, the solution is specifically documented.

solution:
find the X disk
“X\Xilinx\14.x\ISE_DS\ISE\gnu\MinGW\5.0.0\nt\libexec\ GCC \mingw32\3.4.2\collect2.exe” and delete it, rerun the emulator, the problem is solved!

Read More: