Error casting p_sequencer, please verify that this sequence/sequence item is intended to execute

1. This error occurred when building the UVM environment. I found it in seq0   Called ` UVM_ declare_ p_ Sequencer (my_vsqr) and seq0.start (p_sequencer. P_sqr0); As a result of inconsistency, the sequencer that should be passed in is env.vsqr (where vsqr is a new handle registered by my_vsqr using the factor mechanism)

Read More: