Tag Archives: Ise and vivado

Ise14.7 uses its own XST synthesis Error: error: ngdbuild: 604

“ERROR:NgdBuild:604 – logical block ‘TEMPERAURE_CTRL/AD7893 ’ with type ‘ad7893’ could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol ’ is not supported in target ‘virtex5’.”

Solution:
1. Connect all the ports of the top-level module
2. Connect all the inputs and outputs of the sub-module, and assign values to the outputs